240 私信
这个人很懒,暂无签名信息
0

计数器模块

简单的0-9计数器 RTL: 代码片: module cnt_0to9( CLK , // clock CNTVAL, // counter value OV ); // overflow parameter CNTVAL_MAX = 9 ;//计数器最大值 parameter CNTVAL_WL = 4 ;//位宽 input CLK; outpu...

个人介绍
暂无介绍