VHDL映射关系

2020-02-28 18:37发布

LIBRARY IEEE;
USE IEEE. STD_LOGIC_1164.ALL;
ENTITY shift_reg IS
    PORT(di:IN STD_LOGIC;
           cp:IN STD_LOGIC;
           do:OUT STD_LOGIC);
END shift_reg
ARCHITECTURE structure OF shift_reg IS
       COMPONENT dff                                              --元件说明
           PORT(d:IN STD_LOGIC;
                 clk:IN STD_LOGIC;
                  q:OUT STD_LOGIC);
              END COMPONENT
      SIGNAL q:STD_LOGIC_VECTOR(4 DOWNTO 0);
BEGIN
      dff1:dff  PORT MAP (di,cp,q(1));             --元件例化
      dff2:dff  PORT MAP (q(1),cp,q(2));
      dff3:dff  PORT MAP (q(2),cp,q(3));
      dff4:dff  PORT MAP (q(3),cp,do);
END structure

小弟初学程序实现了什么功能也不知道,还有port map语句的端口映射是怎么对应的?由映射关系能看出他们的端口连接关系嘛
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
15条回答
wozuoyue
1楼-- · 2020-02-29 17:31
端口映射有两种形式,一种是直接映射,列如d=>di,表示在顶层中的端口d与di连接在一起,第二种是位置映射,如在你的例子中U1 dff port map中的第一个端口是di,而component中例化的原件第一个端口是d,所以在对应位置上表明d和di连接。
wozuoyue
2楼-- · 2020-02-29 22:46
从你的程序来看应该是个移位寄存器,串入串出的,稍微改一下可做串入并出。
GoldSunMonkey
3楼-- · 2020-03-01 02:22
yghanwuji 发表于 2013-6-28 22:07
我是一俗人···

;P
GoldSunMonkey
4楼-- · 2020-03-01 07:23
damoyeren 发表于 2013-6-29 10:53
你怎么老是就三言两语就完事了 能不能仔细点?

yghanwuji 他回答的不够仔细么?亲~
Backkom80
5楼-- · 2020-03-01 13:13
 精彩回答 2  元偷偷看……
ococ
6楼-- · 2020-03-01 14:46
我觉得楼主最好先找本VHDL书或者教程先看一遍。

一周热门 更多>