VHDL映射关系

2020-02-28 18:37发布

LIBRARY IEEE;
USE IEEE. STD_LOGIC_1164.ALL;
ENTITY shift_reg IS
    PORT(di:IN STD_LOGIC;
           cp:IN STD_LOGIC;
           do:OUT STD_LOGIC);
END shift_reg
ARCHITECTURE structure OF shift_reg IS
       COMPONENT dff                                              --元件说明
           PORT(d:IN STD_LOGIC;
                 clk:IN STD_LOGIC;
                  q:OUT STD_LOGIC);
              END COMPONENT
      SIGNAL q:STD_LOGIC_VECTOR(4 DOWNTO 0);
BEGIN
      dff1:dff  PORT MAP (di,cp,q(1));             --元件例化
      dff2:dff  PORT MAP (q(1),cp,q(2));
      dff3:dff  PORT MAP (q(2),cp,q(3));
      dff4:dff  PORT MAP (q(3),cp,do);
END structure

小弟初学程序实现了什么功能也不知道,还有port map语句的端口映射是怎么对应的?由映射关系能看出他们的端口连接关系嘛
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
15条回答
yghanwuji
1楼-- · 2020-02-28 23:57
 精彩回答 2  元偷偷看……
GoldSunMonkey
2楼-- · 2020-02-29 04:51
调用子模块使用的
GoldSunMonkey
3楼-- · 2020-02-29 09:45
我楼上的是通俗的意思
huangxz
4楼-- · 2020-02-29 14:58
怎么都是学vhdl的啊
yghanwuji
5楼-- · 2020-02-29 16:18
GoldSunMonkey 发表于 2013-6-28 21:45
调用子模块使用的

我是一俗人···
damoyeren
6楼-- · 2020-02-29 16:18
 精彩回答 2  元偷偷看……

一周热门 更多>