有没有人帮忙看看一个小程序,能编译,但是modelsim仿真时输出是stx

2019-07-15 22:46发布

本帖最后由 按时送达 于 2016-5-9 17:11 编辑

下面是主、测文件和仿真结果,还有这个程序的原理图
主程序1 主程序1
主程序2 主程序2
主程序3 主程序3
测试 测试
仿真结果 仿真结果
框图1 框图1
k2.png
框图3 框图3
框图4 框图4
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
runileking
1楼-- · 2019-07-16 03:08
task不可综合,不要写task
按时送达
2楼-- · 2019-07-16 06:12
runileking 发表于 2016-5-10 09:08
task不可综合,不要写task

我一开始是要用module的,可是always中不能调用module啊
runileking
3楼-- · 2019-07-16 11:59
你这明显是C语言风格,不需要调用,组合逻辑出来你想要的值,直接在always里给那个值就可以
petrel1230
4楼-- · 2019-07-16 14:43
 精彩回答 2  元偷偷看……
runileking
5楼-- · 2019-07-16 18:11
具体与综合工具有关,至少有些是不可以综合的,不建议写task,也没必要
企鹅天天见
6楼-- · 2019-07-16 19:20
对于不是特别复杂的不要用TASK任务

一周热门 更多>