Modelsim 仿真的时候 出现下面的问题不知道怎么回事,麻烦大家帮忙看看,谢谢。

2019-07-15 22:44发布

模块例化没有问题,出现下面的错误。# ** Error: (vopt-7052) ./tb_cnt.v(54): Failed to find 'rst_n' in hierarchical name '/rst_n'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(54): Failed to find 'rst_n' in hierarchical name '/rst_n/$$'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(55): Failed to find 'sclk' in hierarchical name '/sclk'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(55): Failed to find 'sclk' in hierarchical name '/sclk/$$'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(56): Failed to find 'A' in hierarchical name '/A'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(56): Failed to find 'A' in hierarchical name '/A/$$'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(57): Failed to find 'B' in hierarchical name '/B'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(57): Failed to find 'B' in hierarchical name '/B/$$'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(58): Failed to find 'C' in hierarchical name '/C'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(58): Failed to find 'C' in hierarchical name '/C/$$'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(59): Failed to find 'POSI' in hierarchical name '/POSI'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(59): Failed to find 'POSI' in hierarchical name '/POSI/$$'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(60): Failed to find 'pulse_4x' in hierarchical name '/pulse_4x'.
#
# ** Error: (vopt-7052) ./tb_cnt.v(60): Failed to find 'pulse_4x' in hierarchical name '/pulse_4x/$$'.
#


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
TH24
1楼-- · 2019-07-16 04:38
有人能解答下吗
TH24
2楼-- · 2019-07-16 08:50
已经解决。。。。。
252792122YMK
3楼-- · 2019-07-16 14:04
微信智能硬件开发之传感器操作和I2S的实现
大猪猪和小猪猪
4楼-- · 2019-07-16 16:59
 精彩回答 2  元偷偷看……
zach_z
5楼-- · 2019-07-16 21:39
怎么解决的啊
修锈咻
6楼-- · 2019-07-17 01:32
信号名不对应

一周热门 更多>