基于FPGA的点阵汉字显示设计

2019-07-15 22:43发布

买了个16*16的点阵,有11个输入端口,不会用FPGA的方法实现汉字的滚动显示,求大神解答!!
点阵.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
光头**MO
1楼-- · 2019-07-16 03:42












光头**MO
2楼-- · 2019-07-16 08:34









ha*ke
3楼-- · 2019-07-16 08:35
看datasheet,先写出写满1屏图形的驱动;
然后间隔某个时间如500ms,重新输出图形内容。
后者使用单片机(或nios)使用更方便。
刘华勋
4楼-- · 2019-07-16 08:36
ha*ke 发表于 2016-5-18 08:52
看datasheet,先写出写满1屏图形的驱动;
然后间隔某个时间如500ms,重新输出图形内容。
后者使用单片机(或nios)使用更方便。

用VHDL程序来写就找不到思路了,关键是只有11个引脚

一周热门 更多>