请教问题几行代码如何实现分频的

2019-07-15 22:42发布

process(clk,rst )
    begin
      if rst ='0' then
        count <= n-1;
      elsif rising_edge(clk) then

          count<=count-1;
           if count>=n/2 then
               q<='0';
            else
               q<='1';
            end if;
            if count<=0 then
              count<=n-1;
            end if;
          end if;
       end process;
请问这几句是如何实现分频的???
求大神解答!!

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
渔阳空渝
1楼-- · 2019-07-16 00:45
还有清零是如何实现的?为什么是 if rst ='0' then
                                           count <= n-1;
????????
渔阳空渝
2楼-- · 2019-07-16 02:52
好了,搞懂了,。。。。。。。
liujinyi016
3楼-- · 2019-07-16 06:02
 精彩回答 2  元偷偷看……
z00
4楼-- · 2019-07-16 09:37
输入是时钟和复位两个信号
773865258
5楼-- · 2019-07-16 12:03
开看看,学习一下
@曲终人散@
6楼-- · 2019-07-16 15:53
what?

一周热门 更多>