差分时钟转单端

2019-07-15 22:40发布

我用xilinx  A7  35T,pcs的差分时钟管脚输入一个差分时钟,使用IBUFDS_GTE2得到一个单端时钟,把这个单端时钟引到外部管脚,用示波器没有看到。各位大神帮忙指点一下
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
莫问牵挂
1楼-- · 2019-07-16 01:33
跪求高手指点!!!
xuyuanzhi
2楼-- · 2019-07-16 05:35
分享个人验证有无时钟的一个方法:利用这个时钟实现一个逻辑,接着用chipscope去抓里面逻辑的一个信号,如果能抓到,可以证明时钟跑起来了。

一周热门 更多>