求在FPGA里实现ROM,比较详细的教程和仿真步骤。

2019-07-15 22:40发布

本人初学FPGA,想在ROM里存几个数,拿到VGA上显示,现在VGA显示数据已经可以完成了,想在ROM里存储几个数据,拿出来进行显示。ROM这块不会做,没有找到教程。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
hawke
1楼-- · 2019-07-16 03:00
你所使用的eda开发软件中就有rom的模板,如:
// Quartus Prime Verilog Template
// Single Port ROM

module single_port_rom
#(parameter DATA_WIDTH=8, parameter ADDR_WIDTH=8)
(
        input [(ADDR_WIDTH-1):0] addr,
        input clk,
        output reg [(DATA_WIDTH-1):0] q
);

        // Declare the ROM variable
        reg [DATA_WIDTH-1:0] rom[2**ADDR_WIDTH-1:0];

        // Initialize the ROM with $readmemb.  Put the memory contents
        // in the file single_port_rom_init.txt.  Without this file,
        // this design will not compile.

        // See Verilog LRM 1364-2001 Section 17.2.8 for details on the
        // format of this file, or see the "Using $readmemb and $readmemh"
        // template later in this section.

        initial
        begin
                $readmemb("single_port_rom_init.txt", rom);
        end

        always @ (posedge clk)
        begin
                q <= rom[addr];
        end

endmodule

一周热门 更多>