基于FPGA下用Verilog HDL 语言设计脉冲电话按键显示器

2019-07-15 22:39发布

设计一个具有7位显示的电话按键显示器,显示器应能正确反映按键数字,显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前显示位,七位数字输入完毕后,电话接通,扬声器发出“嘟----嘟”接通声响,直到有接听信号输入,若一直没有接听,10秒钟后,自动挂断,显示器清除显示,扬声器停止,直到有新号码输入。各位前辈,给点建议,感激不尽!!!!










友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
agdhun
1楼-- · 2019-07-16 03:09
 精彩回答 2  元偷偷看……
FPGAHAN
2楼-- · 2019-07-16 08:38
agdhun 发表于 2016-6-17 10:19
分为显示模块,输入模块和控制模块,接下来就是码代码了

能详细讲解一下吗???

一周热门 更多>