FPGA报错,添加了时钟时序,还有这个报错怎么解决?

2019-07-15 20:41发布

Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)
Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)
Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)
Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)
        Critical Warning (332169): From sys_clk (Rise) to sys_clk (Rise) (hold)

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
飞雪9366
1楼-- · 2019-07-16 01:46
看下你程序里面设置的时钟是什么
yuxiangxyz
2楼-- · 2019-07-16 03:20
你可以使用命令set_clock_uncertainty来设置时钟不稳定性

一周热门 更多>