多个FPGA小系统板的同步问题。

2019-07-15 20:40发布

我想做多个FPGA的时钟同步,目前的想法是用一个FPGA的内部时钟,复制到外接IO口,接到另一个FPGA的外部时钟引脚,波形有较小的相移但是可以保证同步。想问一下可以复制多次,驱动多个FPGA的同步吗。对驱动能力有什么要求?其中每一个FPGA都用的是一个EP4CE的最小系统板。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
ZXH22770
1楼-- · 2019-07-16 02:37
驱动多个FPGA是可以的,好像支持8路
稚雷电子
2楼-- · 2019-07-16 05:49
 精彩回答 2  元偷偷看……
Jeff08_08
3楼-- · 2019-07-16 09:15
国产FPGA,京微齐力有兴趣了解可以加一下我qq812506891
uglyugly
4楼-- · 2019-07-16 09:43
驱动多少个都没多大关系,只要管脚够。可以通过DDIO控制输出延时。输出的频率多少呢?相位关系可以通过传递时间信息来计算延时补偿的,或者直接通过线路延时加进去就可以补偿了,只要控制要输入用专用时钟管脚,输出使用DDIO,其实延时很好控制的。

一周热门 更多>