并行数据转换为串行数据其中的逻辑是怎样的

2019-07-15 20:38发布

各位前辈好,新人自学FPGA,现在碰到一个费解的问题,我例化了一个rom核,输出是十位的数据,现在需要接入到一个串行输入的DA模块上,在网上查到说需要将并行数据转换为串行数据输入到DA中,即把十位的数据一位位的移入串行接口中,但是我不理解的是,如果每个clk产生一个十位的数据,那是不是意味着我的da模块必须每个十分之一个clk就移入一位数据呢?这其中的逻辑应该是怎样的呢?使用的DA模块是tlc5615
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。