vhdl简单问题求解

2020-02-28 18:38发布


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
14条回答
lzyzhh
1楼-- · 2020-02-29 22:38
嘿嘿
ococ
2楼-- · 2020-03-01 00:25
 精彩回答 2  元偷偷看……
damoyeren
3楼-- · 2020-03-01 03:02
ococ 发表于 2013-6-27 13:21
std_logic_arith库里面定义的数据类型转换函数。不是关键字。

怎么函数也冒出来了
liusensen
4楼-- · 2020-03-01 03:08
为什么什么都没有 !
ococ
5楼-- · 2020-03-01 08:57
damoyeren 发表于 2013-6-27 14:32
怎么函数也冒出来了

为什么VHDL就不能有函数呢?
X:Xilinx13.3ISE_DSISEvhdlsrcieee下面的std_logic_arith.vhd里面定义了function CONV_INTEGER
GoldSunMonkey
6楼-- · 2020-03-01 09:22
ococ 发表于 2013-6-27 17:11
为什么VHDL就不能有函数呢?
X:Xilinx13.3ISE_DSISEvhdlsrcieee下面的std_logic_arith.vhd里面定 ...

我一分也没有

一周热门 更多>