VHDL小程序求解

2020-02-28 18:37发布

本帖最后由 damoyeren 于 2013-6-28 10:54 编辑

各位大牛,小弟才学VHDL,望指导。程序的本意是设计一个多输入与门。
1.设计一个两输入与门(这个简单能看懂)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity myand1 is
port(a,b:in std_logic;
           q:out std_logic);
end myand1;
architecture rtl of myand1 is
begin
        q<=a and b;
end rtl;
2.通过元件例化语句和generic语句修改输入变量数目,本程序设计为8个输入端口
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity myand is
generic (sreg_width:integer:=8);
port(indata:in std_logic_vector(sreg_width-1 downto 0);
                  q:out std_logic);
end myand;

architecture rtl of myand is
signal z:std_logic_vector(sreg_width-1 downto 0);
        component myand1
                port(a,b:in std_logic;
                           q:out std_logic);
        end component;
begin
z(sreg_width)<='1';--z是定义个的一个位矢量信号,怎么能给它赋1?最起码应该是“1111 1111”,还有z(sreg—width)这种书写格式没见过?
g1:for i in sreg_width-1 downto 0 generate--有for generate这个语句嘛,它的作用是?
u1:myand1 port map (z(i+1),indata(i),z(i));端口映射时的端口对应关系,还有z(i+1),indata(i),z(i));什么意思?
end generate;--generate都没见过
q<=z(0);
end rtl;
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
huangxz
1楼-- · 2020-02-28 23:16
能综合过去么,
用verilog吧,vhdl实在是难看懂。
yghanwuji
2楼-- · 2020-02-29 00:30
 精彩回答 2  元偷偷看……
resxpl
3楼-- · 2020-02-29 03:26
楼主有些基本语法没有掌握啊, for... generate, 书上一般都会讲到.
z()这种形式是引用矢量每一位的方法.
楼主代码有bug, z 少了1位. 改成这样应该就可以了.
signal z:std_logic_vector(sreg_width downto 0);
GoldSunMonkey
4楼-- · 2020-02-29 04:36
这是书上的例子。这本书挺差的
GoldSunMonkey
5楼-- · 2020-02-29 04:41
至少不要轻易用generate
yghanwuji
6楼-- · 2020-02-29 07:21
GoldSunMonkey 发表于 2013-6-28 21:32
至少不要轻易用generate

为啥呢,最近看leon源码里经常用···

一周热门 更多>