请教大家一个xilinx ise9.1 IP CORE 的问题

2020-02-28 18:23发布


最近小弟刚接触xilinx软件,我按照书籍说明建立工程、输入verilog代码、进行功能仿真等。刚开始时运行正常,但是过几天后再打开这个项目发现功能仿真出现错误,错误为
ERROR:HDLParsers:3482 - Could not resolve instantiated unit X_ZERO in Verilog module work/test in any library
ERROR:Simulator:198 - Failed when handling dependencies for module test_ts_v
错误界面 错误界面
望前辈们给予指导,谢谢大伙了!
0条回答

一周热门 更多>