读写FPGA FIFO问题?

2020-02-27 21:15发布

用quartus自带的FIFO,怎么读写数据,用的异步FIFO,rdclk和wrclk分别接到各自的时钟上,写数据的时候先将数据放到data上,然后将wrreq变高一个时钟周期,这样就可以写一个数进去,读数据的时候将rdreq置高一个时钟周期,这样就q线上就会出现要读的数据,不知道这样理解对不对?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
jlgcumt
1楼-- · 2020-02-28 02:34
结贴,问题解决!
GoldSunMonkey
2楼-- · 2020-02-28 06:39
 精彩回答 2  元偷偷看……
GoldSunMonkey
3楼-- · 2020-02-28 11:38
jlgcumt 发表于 2013-9-9 19:56
结贴,问题解决!

我我晚了么?
jlgcumt
4楼-- · 2020-02-28 16:45
GoldSunMonkey 发表于 2013-9-9 23:34
我我晚了么?

恩,晚了,哈哈!
luyaker
5楼-- · 2020-02-28 22:32
分享一下吧,LZ
haitaox
6楼-- · 2020-02-29 01:17
仿真啊,兄弟,闭上论坛问强多了

一周热门 更多>