ise14.7支持SystemVerilog吗?

2020-01-30 16:43发布

我看了下,好像貌似不支持的样子。。。
QUARTUS-13.2 有支持到 systemVerilog_2005了
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
zhangmangui
1楼-- · 2020-01-30 16:52
 精彩回答 2  元偷偷看……
nnie2005
2楼-- · 2020-01-30 20:35
zhangmangui 发表于 2018-5-22 20:43
想知道systemverilog有什么优势啊。华为等都用这个

就是verilog的后续版本。ieee的verilog只更新到了2001。
zhangmangui
3楼-- · 2020-01-30 21:14
nnie2005 发表于 2018-5-22 21:07
就是verilog的后续版本。ieee的verilog只更新到了2001。

是不是后面的趋势 啊     
nnie2005
4楼-- · 2020-01-31 02:07
zhangmangui 发表于 2018-5-22 22:28
是不是后面的趋势 啊

我这两天没纠结这个了,,,我们最近做的一个项目选的spartan6系列器件,但是我发现spartan6系列只能用ISE进行开发,我也是才开始接触FPGA的。大概了解了SYSTEM verilog支持更多新特性,比如C语言这类,可以直接嵌入C之类的进行设计。不过现阶段我还暂时用不上。
zhangmangui
5楼-- · 2020-01-31 06:21
nnie2005 发表于 2018-5-22 23:24
我这两天没纠结这个了,,,我们最近做的一个项目选的spartan6系列器件,但是我发现spartan6系列只能用IS ...

恩  我也是做xilinx fpga的  多多交流
nnie2005
6楼-- · 2020-01-31 06:30
 精彩回答 2  元偷偷看……

一周热门 更多>