VHDL 总线问题

2020-01-30 16:00发布

我用VHDL写了一个器件,有24根总线输dirdata: OUT std_logic_vector(23 downto 0);
然后将输出口的其中两根线连接到原理图中其他器件上面如果 1556498380_477047.jpg
然后就会报错
Error: Node "dirdata0" is missing source
Error: Node "dirdata1" is missing source
这个是什么问题,请指教
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
jjl3
1楼-- · 2020-01-30 16:41
断开连线,只用标号就可以了
weicheichei
2楼-- · 2020-01-30 22:14
jjl3 发表于 2019-4-29 09:32
断开连线,只用标号就可以了

  嗯,我试试,谢谢啦
zhangmangui
3楼-- · 2020-01-31 03:31
总线这么抽出两个线不知道行不行     
爱上0在路上
4楼-- · 2020-01-31 09:05
 精彩回答 2  元偷偷看……
weicheichei
5楼-- · 2020-01-31 11:10
jjl3 发表于 2019-4-29 09:32
断开连线,只用标号就可以了

可以了,谢谢

一周热门 更多>