怎么样使用quartusII和modulsim联合仿真

2019-03-25 09:38发布

刚接触Verilog,感觉比较迷茫?!有专门讲怎么使用modulsim来仿真的教程没有,最近在使用quartusII写一些小模块,可是不太会用相关的仿真,还有就是感觉testbench不太好写,有没有什么经验啥的啊? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
12条回答
00yaliang
1楼-- · 2019-03-25 16:08
< / 资料到处都是,自己找找,动动手!~~
sdjntl
2楼-- · 2019-03-25 16:13
Quartus II调用ModelSim仿真实例
奔跑的蜗牛
3楼-- · 2019-03-25 19:39
资料倒是找了不少,没有一个很全的
奔跑的蜗牛
4楼-- · 2019-03-26 00:46
谢谢
gz475514589
5楼-- · 2019-03-26 02:15
不错我也来看看
eeleader
6楼-- · 2019-03-26 03:46
 精彩回答 2  元偷偷看……

一周热门 更多>