为什么我的计数器不计数呢modelsim仿真

2019-11-21 13:43发布

<pre style="max-width: 100%;"><code class="cpp hljs" codemark="1"><span class="hljs-function">module <span class="hljs-title">LED1</span><span class="hljs-params">(clk,rst,led)</span></span>; input clk,rst; output led; parameter time_1 = <span class="hljs-number">27</span><span class="hljs-string">'d5000_0000; reg[26:0] cnt; reg led; always @(posedge clk or negedge rst) IF(!rst) cnt &lt;= 27'</span>d0; <span class="hljs-keyword">else</span> <span class="hljs-keyword">if</span>(cnt == time_1) cnt &lt;= <span class="hljs-number">27</span><span class="hljs-string">'d0; else cnt &lt;= cnt + 1'</span>b1; always @(posedge clk or negedge rst) <span class="hljs-keyword">if</span>(!rst) led &lt;= <span class="hljs-number">1</span><span class="hljs-string">'b1; else if(cnt == time_1) led &lt;= ~led; endmodule `timescale 1 ns/ 1 ns module led1_vlg_tst(); reg eachvec; reg clk; reg rst; reg cnt; wire led; led1 i1 ( .clk(clk), .led(led), .rst(rst) ); initial begin clk = 0; rst = 0; #100 rst = 1; end always #1 clk = ~clk; endmodule cnt 一直为 x 不计数</span></code></pre> <ignore_js_op> <dl class="tattl attm"> <dd> <img src="data/attach/1911/xmhdfm67j7wce79hjmvvtmq8efrr0wlh.png" alt="QQ图片20190923155543.png" title="QQ图片20190923155543.png"> </dd> </dl> </ignore_js_op> <p><br></p>
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
微风挽雨
1楼-- · 2019-11-21 19:23
 精彩回答 2  元偷偷看……
星云云
2楼-- · 2019-11-21 19:40
你为啥第一个if要大写呢
我爱妖妖
3楼-- · 2019-11-22 00:55
你在initial begin 中都没有给你的LED进行一个初值赋值
zhayhgaaa
4楼-- · 2019-11-22 05:43
在tb里面的cnt必然不会计数了,你LED1模块的cnt都没有输出给tb
陈加付
5楼-- · 2019-11-22 11:25
修改一下if,initial begin 中LED进行一个初值赋值,然后就是你的仿真的东西错了,你仿真的是tb,这应该不是你想看的。你应该在modelsim的sim中tb下找到LED1进行仿真
卿小小_9e6
6楼-- · 2019-11-22 13:31
 精彩回答 2  元偷偷看……

一周热门 更多>