各位大哥 高手 帮帮忙

2019-03-25 09:20发布

module flash_mod(clk,rst,LED_OUT);
input clk;
inout rst;
output  LED_OUT;
//----------------------//
reg [19:0]cnt;
reg led_out;
parameter T50ms=20'd999_999;
//-------------------------//
//-------------------------//
always@(posedge clk,negedge rst)
  begin
  if(!rst)
  begin
   cnt<=0;
   led_out<='b0;end
   else if(cnt==T50ms)
        begin cnt<=0;
        led_out<=~led_out;end
        else cnt<=cnt+1;
        end
//---------------//

assign  LED_OUT=led_out;
endmodule
       
module run_mod (clk,rst,LED_OUT);
input rst;
input clk;
output [2:0]LED_OUT;
//-----------------------------//
reg [14:0]cnt0;
reg [6:0]cnt_100ms;
reg [2:0]led_out;
parameter T1ms=15'd19_999;
//----------------------------------//       

//--------------1ms计数器----------------//
always@(posedge clk, negedge rst)
begin
    if(!rst)
      begin
      
       cnt0<='b0;
                 cnt_100ms<=0; //------100ms计数器----------------------------//       
                 led_out<='b001;
       end
        //-------------每100ms移位一次-----------------//
          else if(cnt_100ms==7'd99)
         begin
                 if(led_out==3'b000)//判断是否移到末位//
                         led_out<=3'b001;
                      else led_out<={led_out[1:0],1'b0};
                   end
    else if (cnt0==T1ms)
                    begin
                        cnt0<='b0;
                        cnt_100ms<=cnt_100ms+1;
                        end
                  else cnt0<=cnt0+1;
               
end
          
       

assign LED_OUT=led_out;
endmodule

module top_mod (clk,rst,Flash_led,Run_led);
input clk;
input rst;
output Flash_led;
output [2:0]Run_led;
//---------------------------//
wire Flash_led;
flash_mod i1(.clk(clk),.rst(rst),.LED_OUT(Flash_led));
//---------------------------//
wire [2:0]Run_led;
run_mod i2(.clk(clk),.rst(rst),.LED_OUT(Run_led));

assign Flash_led =Flash_led;
assign Run_led =Run_led;
endmodule       

quartus 仿真没有错误 但是modelsim错误 : ** Warning: (vsim-3009) [TSCALE] - Module 'flash_mod' does not have a `timescale directive in effect, but previous modules do.
#         Region: /waterlap_tb/i1/i1
# Loading work.run_mod
# ** Warning: (vsim-3009) [TSCALE] - Module 'run_mod' does not have a `timescale directive in effect, but previous modules do.
#         Region: /waterlap_tb/i1/i2
# WARNING: No extended dataflow License exists 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
deweyled
1楼-- · 2019-03-25 20:41
< / 仿真时间未定义            
`timescale 1ns / 1ps 类似这样的定义,加在模块外面。
xander_wang
2楼-- · 2019-03-25 21:03
 精彩回答 2  元偷偷看……
deweyled
3楼-- · 2019-03-25 21:31
最好每个都加上
xander_wang
4楼-- · 2019-03-26 02:09
感谢您 !错误是没哟哦 ,可还是输出 仿真波形 依旧是红线?请问怎么回事 ?在激励里 有清零
deweyled
5楼-- · 2019-03-26 05:43
系统没有复位,你的复位信号没有满足系统复位要求。你可以根据代码分析下。
eeleader
6楼-- · 2019-03-26 10:38
确实如楼上所说啊,长期处于复位状态!

一周热门 更多>