【ALIENTEK 战舰STM32开发板例程系列连载+教学】第三十二章 红外遥控实验

2019-08-23 15:59发布

第三十二章  红外遥控实验

本章,我们将向大家介绍如何通过STM32来解码红外遥控器的信号。ALIENTK战舰STM32开发板标配了红外接收头和一个很小巧的红外遥控器。在本章中,我们将利用STM32的输入捕获功能,解码开发板标配的这个红外遥控器的编码信号,并将解码后的键值TFTLCD模块上显示出来。本章分为如下几个部分: 32.1 红外遥控简介 32.2 硬件设计 32.3 软件设计 32.4 下载验证

32.1红外遥控简介

红外遥控是一种无线、非接触控制技术,具有抗干扰能力强,信息传输可靠,功耗低,成本低,易实现等显著优点,被诸多电子设备特别是家用电器广泛采用,并越来越多的应用到计算机系统中。 由于红外线遥控不具有像无线电遥控那样穿过障碍物去控制被控对象的能力,所以,在设计红外线遥控器时,不必要像无线电遥控器那样,每套(发射器和接收器)要有不同的遥控频率或编码(否则,就会隔墙控制或干扰邻居的家用电器),所以同类产品的红外线遥控器,可以有相同的遥控频率或编码,而不会出现遥控信号“串门”的情况。这对于大批量生产以及在家用电器上普及红外线遥控提供了极大的方面。由于红外线为不可见光,因此对环境影响很小,再由红外光波动波长远小于无线电波的波长,所以红外线遥控不会影响其他家用电器,也不会影响临近的无线电设备。 红外遥控的编码目前广泛使用的是:NEC Protocol PWM(脉冲宽度调制)Philips RC-5 Protocol PPM(脉冲位置调制)ALIENTEK战舰STM32开发板配套的遥控器使用的是NEC协议,其特征如下: 18位地址和8位指令长度; 2、地址和命令2次传输(确保可靠性) 3PWM脉冲位置调制,以发射红外载波的占空比代表“0和“1 4、载波频率为38Khz 5、位时间为1.125ms2.25ms NEC码的位定义:一个脉冲对应560us的连续载波,一个逻辑1传输需要2.25ms560us脉冲+1680us低电平),一个逻辑0的传输需要1.125ms560us脉冲+560us低电平)。而遥控接收头在收到脉冲的时候为低电平,在没有脉冲的时候为高电平,这样,我们在接收头端收到的信号为:逻辑1应该是560us+1680us高,逻辑0应该是560us+560us高。 NEC遥控指令的数据格式为:同步码头、地址码、地址反码、控制码、控制反码。同步码由一个9ms的低电平和一个4.5ms的高电平组成,地址码、地址反码、控制码、控制反码均是8位数据格式。按照低位在前,高位在后的顺序发送。采用反码是为了增加传输的可靠性(可用于校验)。 我们遥控器的按键2按下时,从红外接收头端收到的波形如图32.1.1所示:

32.1.1 按键2所对应的红外波形 从图32.1.1中可以看到,其地址码为0,控制码为168。可以看到在100ms之后,我们还收到了几个脉冲,这是NEC码规定的连发码(9ms低电平+2.5m高电平+0.56ms低电平+97.94ms高电平组成),如果在一帧数据发送完毕之后,按键仍然没有放开,则发射重复码,即连发码,可以通过统计连发码的次数来标记按键按下的长短/次数。 第十五章我们曾经介绍过利用输入捕获来测量高电平的脉宽,本章解码红外遥控信号,刚好可以利用输入捕获的这个功能来实现遥控解码。关于输入捕获的介绍,请参考第十五章的内容。  

32.2 硬件设计

本实验采用定时器的输入捕获功能实现红外解码,本章实验功能简介:开机在LCD上显示一些信息之后,即进入等待红外触发,如过接收到正确的红外信号,则解码,并在LCD上显示键值和所代表的意义,以及按键次数等信息。同样我们也是用LED0来指示程序正在运行。 所要用到的硬件资源如下: 1)  指示灯DS0 2) TFTLCD模块(带触摸屏) 3)  红外接收头 4)  红外遥控器     前两个,在之前的实例已经介绍过了,遥控器属于外部器件,遥控接收头在板子上,与MCU的连接原理图如32.2.1所示:

                  32.2.1 红外遥控接收头与STM32的连接电路图 红外遥控接收头连接在STM32PB9TIM4_CH4)上。硬件上不需要变动,只要程序将TIM4_CH4设计为输入捕获,然后将收到的脉冲信号解码就可以了。    开发板配套的红外遥控器外观如图32.2.2所示:

32.2.2 红外遥控器

32.3 软件设计

打开上一章的工程,首先在HARDWARE文件夹下新建一个REMOTE的文件夹。然后新建一个remote.cremote.h的文件保存在REMOTE文件夹下,并将这个文件夹加入头文件包含路径。 打开remote.c文件,输入如下代码: #include "remote.h" #include "delay.h" #include "usart.h" //红外遥控初始化 //设置IO以及定时器4的输入捕获 void Remote_Init(void)                       {                                                                       RCC->APB1ENR|=1<<2;          //TIM4 时钟使能        RCC->APB2ENR|=1<<3;         //使能PORTB时钟        GPIOB->CRH&=0XFFFFFF0F;   //PB9 输入         GPIOB->CRH|=0X00000080;      //上拉输入            GPIOB->ODR|=1<<9;          //PB9 上拉        TIM4->ARR=10000;           //设定计数器自动重装值 最大10ms溢出         TIM4->SC=71;                 //预分频器,1M的计数频率,1us1.          TIM4->CCMR2|=1<<8;        //CC4S=01     选择输入端 IC4映射到TI4       TIM4->CCMR2|=3<<12;     //IC4F=0011 配置输入滤波器 8个定时器时钟周期滤波       TIM4->CCMR2|=0<<10;     //IC4PS=00    配置输入分频,不分频        TIM4->CCER|=0<<13;        //CC4P=0       上升沿捕获        TIM4->CCER|=1<<12;        //CC4E=1      允许捕获计数器的值到捕获寄存器中        TIM4->DIER|=1<<4;         //允许CC4IE捕获中断                             TIM4->DIER|=1<<0;         //允许更新中断                                 TIM4->CR1|=0x01;           //使能定时器4       MY_NVIC_Init(1,3,TIM4_IRQChannel,2);//抢占1,子优先级3,组2                        } //遥控器接收状态 //[7]:收到了引导码标志 //[6]:得到了一个按键的所有信息 //[5]:保留       //[4]:标记上升沿是否已经被捕获                                                           //[3:0]:溢出计时器 u8   RmtSta=0;               u16 Dval;                     //下降沿时计数器的值 u32 RmtRec=0;      //红外接收到的数据                      u8  RmtCnt=0;     //按键按下的次数    //定时器2中断服务程序     void TIM4_IRQHandler(void) {                                u16 tsr;        tsr=TIM4->SR;        if(tsr&0X01)//溢出        {               if(RmtSta&0x80)//上次有数据被接收到了               {                          RmtSta&=~0X10;                              //取消上升沿已经被捕获标记                      if((RmtSta&0X0F)==0X00)RmtSta|=1<<6; //标记已经完成一次按键的键值信息采集                      if((RmtSta&0X0F)<14)RmtSta++;                      else                      {                             RmtSta&=~(1<<7);//清空引导标识                             RmtSta&=0XF0;    //清空计数器                       }                                                                }                                                          }        if(tsr&0x10)//CC4IE中断        {                     if(RDATA)//上升沿捕获               {                     TIM4->CCER|=1<<13;                      //CC4P=1       设置为下降沿捕获                      TIM4->CNT=0;                                 //清空定时器值                      RmtSta|=0X10;                                  //标记上升沿已经被捕获               }else //下降沿捕获               {                      Dval=TIM4->CCR4;                           //读取CCR1也可以清CC1IF标志位                     TIM4->CCER&=~(1<<13);                //CC4P=0       设置为上升沿捕获                      if(RmtSta&0X10)                              //完成一次高电平捕获                      {                            if(RmtSta&0X80)//接收到了引导码                             {                                                                       if(Dval>300&&Dval<800)                  //560为标准值,560us                                    {                                           RmtRec<<=1; //左移一位.                                           RmtRec|=0;    //接收到0                                            }else if(Dval>1400&&Dval<1800)      //1680为标准值,1680us                                    {                                           RmtRec<<=1; //左移一位.                                           RmtRec|=1;    //接收到1                                    }else if(Dval>2200&&Dval<2600)      //得到按键键值增加的信息 2500为标准值2.5ms                                    {                                           RmtCnt++;           //按键次数增加1                                           RmtSta&=0XF0;    //清空计时器                                            }                            }else if(Dval>4200&&Dval<4700)//4500为标准值4.5ms                             {                                    RmtSta|=1<<7;      //标记成功接收到了引导码                                    RmtCnt=0;            //清除按键次数计数器                             }                                                             }                      RmtSta&=~(1<<4);               }                                                                                                  }        TIM4->SR=0;//清除中断标志位      } //处理红外键盘 //返回值: //     0,没有任何按键按下 //其他,按下的按键键值. u8 Remote_Scan(void) {               u8 sta=0;           u8 t1,t2;         if(RmtSta&(1<<6))//得到一个按键的所有信息了        {            t1=RmtRec>>24;                  //得到地址码            t2=(RmtRec>>16)&0xff;      //得到地址反码           if((t1==(u8)~t2)&&t1==REMOTE_ID)//检验遥控识别码(ID)及地址            {                t1=RmtRec>>8;                t2=RmtRec;                  if(t1==(u8)~t2)sta=t1;//键值正确               }                 if((sta==0)||((RmtSta&0X80)==0))//按键数据错误/遥控已经没有按下了               {                     RmtSta&=~(1<<6);//清除接收到有效按键标识                      RmtCnt=0;            //清除按键次数计数器               }        }      return sta; } 该部分代码包含3个函数,首先是Remote_Init函数,该函数用于初始化IO口,并配置TIM4_CH4为输入捕获,并设置其相关参数。TIM4_IRQHandler函数是TIM4的中断服务函数,在该函数里面,实现对红外信号的高电平脉冲的捕获,同时根据我们之前简介的协议内容来解码 ,该函数用到几个全局变量,用于辅助解码,并存储解码结果。最后是Remote_Scan函数,该函用来扫描解码结果,相当于我们的按键扫描,输入捕获解码的红外数据,通过该函数传送给其他程序。 保存remote.c,然后把该文件加入HARDWARE组下。接下来打开remote.h在该文件里面加入如下代码: #ifndef __RED_H #define __RED_H #include "sys.h"   #define RDATA PBin(9) //红外数据输入脚 //红外遥控识别码(ID),每款遥控器的该值基本都不一样,但也有一样的. //我们选用的遥控器识别码为0 #define REMOTE_ID 0                      extern u8 RmtCnt;         //按键按下的次数 void Remote_Init(void); //红外传感器接收头引脚初始化 u8 Remote_Scan(void);      #endif 这里的REMOTE_ID就是我们开发板配套的遥控器的识别码,对于其他遥控器可能不一样,只要修改这个为你所使用的遥控器的一致就可以了。其他是一些函数的声明,我们保存此部分代码,然后在test.c里面修改主函数如下: int main(void) {                   u8 key;        u8 t=0;           u8 *str=0;       Stm32_Clock_Init(9);    //系统时钟设置        uart_init(72,9600);      //串口初始化为9600        delay_init(72);                  //延时初始化        LED_Init();                 //初始化与LED连接的硬件接口        LCD_Init();                  //初始化LCD        usmart_dev.init(72);      //初始化USMART               Remote_Init();                     //红外接收初始化               POINT_COLOR=RED;//设置字体为红 {MOD}        LCD_ShowString(60,50,200,16,16,"WarShip STM32");           LCD_ShowString(60,70,200,16,16,"REMOTE TEST");            LCD_ShowString(60,90,200,16,16,"ATOM@ALIENTEK");        LCD_ShowString(60,110,200,16,16,"2012/9/12");      LCD_ShowString(60,130,200,16,16,"KEYVAL:");          LCD_ShowString(60,150,200,16,16,"KEYCNT:");          LCD_ShowString(60,170,200,16,16,"SYMBOL:");             while(1)        {               key=Remote_Scan();                   if(key)               {                          LCD_ShowNum(116,130,key,3,16);            //显示键值                      LCD_ShowNum(116,150,RmtCnt,3,16);      //显示按键次数                                   switch(key)                      {                             case 0:str="ERROR";break;                                                case 162:str="POWER";break;                                      case 98:str="UP";break;                                 case 2:str="PLAY";break;                                         case 226:str="ALIENTEK";break;                                      case 194:str="RIGHT";break;                                      case 34:str="LEFT";break;                                         case 224:str="VOL-";break;                                        case 168:str="DOWN";break;                                             case 144:str="VOL+";break;                                         case 104:str="1";break;                                       case 152:str="2";break;                                 case 176:str="3";break;                                  case 48:str="4";break;                                           case 24:str="5";break;                                           case 122:str="6";break;                                       case 16:str="7";break;                                                                               case 56:str="8";break;                                case 90:str="9";break;                             case 66:str="0";break;                             case 82:str="DELETE";break;                                  }                      LCD_Fill(116,170,116+8*8,170+16,WHITE);     //清除之前的显示                      LCD_ShowString(116,170,200,16,16,str);          //显示SYMBOL               }else delay_ms(10);                       t++;               if(t==20)               {                      t=0;                      LED0=!LED0;               }        } } 至此,我们的软件设计部分就结束了。

32.4 下载验证

在代码编译成功之后,我们通过下载代码到ALIENTEK战舰STM32开发板上,可以看到LCD显示如图32.4.1所示的内容:

32.4.1 程序运行效果图 此时我们通过遥控器按下不同的按键,则可以看到LCD上显示了不同按键的键值以及按键次数和对应的遥控器上的符号。如图32.4.2所示:


32.4.2 解码成功
 
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
35条回答
arm51avr
1楼-- · 2019-08-23 17:34
 精彩回答 2  元偷偷看……
charlieholy
2楼-- · 2019-08-23 18:30
回复【楼主位】正点原子:
---------------------------------
原子哥你们用的接收头是 hS0038 跟其他的 VS1838B等可以通用的吗
正点原子
3楼-- · 2019-08-23 19:21
回复【3楼】charlieholy:
---------------------------------
测试下吧,应该通用.
sword
4楼-- · 2019-08-23 22:13
回复【4楼】正点原子:
---------------------------------
原子哥,我把io口从PB9换成PB8  A0都试过,为什么都不行,我初始化的代码都改了的牙
正点原子
5楼-- · 2019-08-23 23:36
说明没改对了。。。。
dhyrobot
6楼-- · 2019-08-24 05:21
 精彩回答 2  元偷偷看……

一周热门 更多>