vhdl中怎样编写复数计算?大家给指导一下

2019-03-25 08:40发布

我学要编写  Va - (Vb - Vc)/(2 j)  ,  Va,Vb,Vc为三个输入量      复数的虚部 j 怎么表示 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
eeleader
1楼-- · 2019-03-25 13:37
< / 直接表示这个量有难度,可以考虑用乘、除法来解决这个问题。

一周热门 更多>