求助:vhdl和verilog的时钟沿采样传输数据问题

2019-03-25 08:39发布

vhdl和verilog的时钟沿采样传输数据问题,我才学vhdl不久,看到其他代码不管数据是上升沿变化还是下降沿变化,都是用的时钟上升沿采样的数据。我觉得这样不能有效采样数据啊,特别是verilog的代码,时钟都是用的上升沿,这样通过功能仿真不能有效采样的啊。但代码下载到硬件上运行还是正常的,比如说sdram接口控制的例程。
      我举一个例子:我用clk100m的上升沿变化产生数据(clk100m上升沿变化时,数据发生变化),在另一个模块我也用同一个clk100m采样这个产生的数据,功能仿真的话,用下降沿可以有效采样,但用clk100m上升沿采样的话,怎样能有效采样,保证代码正常运行呢?
      这个问题困惑比较久了,望大家给点建议,谢谢! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
eeleader
1楼-- · 2019-03-25 19:52
 精彩回答 2  元偷偷看……
ydcqu2005
2楼-- · 2019-03-25 21:46
用下降沿采样是最可靠的!

一周热门 更多>