modelsim仿真

2019-03-25 08:38发布

各位大牛帮忙看看啊
咨询下modelsim仿真
when ST_1WAIT=>
if counter_wait>X"00000022" then
next_state<=ST_2;
else
next_state<=ST_1WAIT;
end if;
when ST_2=>
....
....
countpro:process(RSTN,HCLK)
begin
if RSTN = '0' then
counter_wait<=(others=>'0');
elsif rising_edge(HCLK) then
if pre_state = ST_1WAIT then
counter_wait<=counter_wait+'1';
else
counter_wait<=(others=>'0');
end if;
end if;
end process;
file:///D:/我的文档/Tencent%20Files/272780147/Image/LH]JV23S07P8G6O$CL)5L53.jpg


为什么modelsim仿真出来  状态机跳转不到下一ST_2状态,但是用quartus自带的仿真器和modelsim中的gate level却是OK的  求助啊。。。
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
lingshibo
1楼-- · 2019-03-25 18:32
< / 高手啊,出来帮帮小弟啊
lingshibo
2楼-- · 2019-03-25 23:47
顶啊  没有人知道吗
eeleader
3楼-- · 2019-03-26 02:53
估计MODESIM中没有初始化状态机。
tt98
4楼-- · 2019-03-26 05:39
不懂的飘过了!

一周热门 更多>