一个移位的小问题

2019-03-25 08:37发布

out <=  out <<1  和 out <<1;一样吗?可以用后面的表述方法吗? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
00750
1楼-- · 2019-03-25 13:37
< / 不可以
eeleader
2楼-- · 2019-03-25 16:22
 精彩回答 2  元偷偷看……
eeleader
3楼-- · 2019-03-25 17:40
vhdl是硬件电路描述语言,很接近机器语言
或汇编语言。楼主把C语言(高级语言)与砰
件描述语言搞混淆了。
leekuip
4楼-- · 2019-03-25 23:28
按我的理解,第一种是变量移位后赋给端口,第二种是变量移位。我对vhdl只是稍微懂点儿,还是坐等大虾来解释!

一周热门 更多>