ISE VHDL 从txt文件里读取数据

2019-03-25 08:37发布

一个txt文件,第一行存放了一个8位二进制数,第二行存放了一个8位二进制数。
现在要求从txt文件里读出2个数,分别付给a,b。

网上有一些例子,看不明白,求高人指点。

知道用readline写,可是怎么确定读第一行,第二行呢?

[ 本帖最后由 timdong 于 2013-1-28 22:14 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
eeleader
1楼-- · 2019-03-25 14:56
< / 没用过!需要你分享心得。
chenzhufly
2楼-- · 2019-03-25 18:58
没用过!
eeleader
3楼-- · 2019-03-25 22:32
楼主,这个问题解决了吗?如果解决的话,给我们分享心得。
timdong
4楼-- · 2019-03-26 04:08
只能从文件的第一行开始读,一行一行的读。
我只有2个参数,就放在第一行第二行了。

file_process:process (clk)  
file filein: text;
variable fstatus1:FILE_OPEN_STATUS;   
variable buf1,buf2,buf3:LINE;   
variable data1,data2,data3:std_logic_vector(7 downto 0);
begin   
if (clk'event and clk = '1') then   
file_open(fstatus1,filein ,"C:Documents and Settingssixd0742Desktop am_5_6.txt",read_mode);

readline(filein,buf1);  

read(buf1,data1);  

readline(filein,buf2);  

read(buf2,data2);  

file_close(filein);
n_1<=data1( 5 downto 0 );
n_2<=data2( 5 downto 0 );
c<=n_1-"000001";
end if;
end process;

一周热门 更多>