FPGA语言VHDL中,串口接受到一组数据,将这组数据分解成一份一份实数,再将这些实...

2019-03-25 08:35发布

我这样做发现了一个问题,当未知参数设置成固定值时,程序跑起来是一点问题也没有,可是当用串口接受的数据带进去的时候,程序跑起来就不行了。串口接受的数据是没问题的,数据分解的也没有问题。
如下面的程序,当 v_pixel  ,h_pixel为固定值时,程序是没问题的,当下面这样做的时候,程序就不行了。
  if clk'event and clk='1' then
   h_pixel:=conv_integer(h_pixel_data);    --h_pixel_data是串口接受的数据
   v_pixel:=conv_integer(v_pixel_data);    --v_pixel_data是串口接受的数据
    --h_pixel是  分解出来的实数
    --v_pixel是 分解出来的实数
   case key_num is
    WHEN "00000000"=>   --1 红
     if h_count>=0 and h_count<h_pixel and v_count >= 0 and v_count < v_pixel then
      d_rgb_o<="111111110000000000000000";    --RGB是液晶的像素数据
      d_rgb_e<="111111110000000000000000";
     end if;
    when "00000001"=>  --2 绿
     if h_count>=0 and h_count<h_pixel and v_count >= 0 and v_count < v_pixel then
      d_rgb_o<="000000001111111100000000";
      d_rgb_e<="000000001111111100000000";
     end if;
    when "00000010"=>  --3 蓝
     if h_count>=0 and h_count<h_pixel and v_count >= 0 and v_count < v_pixel then
      d_rgb_o<="000000000000000011111111";
      d_rgb_e<="000000000000000011111111";
     end if;
    。。。。。。
这个急死我了,麻烦各位帮帮忙。。。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
1条回答
eeleader
1楼-- · 2019-03-25 11:03
< / FPGA仅能处理位矢量,换句话说,即是
有无符号数。

一周热门 更多>