顶层模块调用底层模块的端口信号,仿真时出错~~~

2019-03-25 08:34发布

设计的是三个层次的模块,顶层模块topcpu.v,中间模块cpu.v,底层模块adr.v
我在topcpu.v中调用adr的端口信号pc_addr,仿真的时候出错:Unresolved reference to 'm_adr' in t_cpu.m_adr.

这是我的调用语句 while(test==1)
                       @(t_cpu.m_adr.pc_addr)//fixed
                       if((t_cpu.m_adr.pc_addr%2==1)&&(t_cpu.m_adr.fetch==1))
                    begin
                        #60  PC_addr<=t_cpu.m_adr.pc_addr-1;
                             IR_addr<=t_cpu.m_adr.pc_addr;
                        #340  $strobe("%t   %h    %s    %h   %h",$time,PC_addr,mnemonic,IR_addr,data);
                    end

以下是各模块中的实例化

在topcpu.v中:   cpu  t_cpu(.clk(clock),.reset(reset),.halt(halt),.rd(rd),.wr(wr),.addr(addr),.data(data));

在cpu.v中:adr m_adr(.fetch(fetch),.ir_addr(ir_addr),.pc_addr(pc_addr),.addr(addr));

实在找不到原因,很头痛~~~ 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
eeleader
1楼-- · 2019-03-25 13:04
 精彩回答 2  元偷偷看……
eeleader
2楼-- · 2019-03-25 13:28
情况怎样,楼主给点解释?
eeleader
3楼-- · 2019-03-25 13:44
要有回应啊!这样大家回答问题才积极。

一周热门 更多>