调试modbus时一直进入hard fault

2019-08-17 09:48发布



[mw_shl_code=c,true]#include "modbus.h"
#include "output.h"

///////////////////////////////////////////////////////////
u32 RS485_Baudrate=9600;//í¨Ñ¶2¨ìØÂê
u8 RS485_Parity=0;//0ÎTD£Ñ飻1ÆæD£Ñ飻2żD£Ñé
u8 RS485_Addr=1;//′ó»úμØÖ·
u16 RS485_Frame_Distance=4;//êy¾YÖ¡×îD¡¼ä¸ô£¨ms),3¬1y′Ëê±¼äÔòèÏÎaêÇÏÂò»Ö¡

u8 RS485_RX_BUFF[64];//½óêÕ»o3åÇø2048×Ö½ú
u16 RS485_RX_CNT=0;//½óêÕ¼ÆêyÆ÷
u8 RS485_FrameFlag=0;//Ö¡½áêø±ê¼Ç
u8 RS485_TX_BUFF[64];//·¢Ëí»o3åÇø
u16 RS485_TX_CNT=0;//·¢Ëí¼ÆêyÆ÷

////////////////////////////////////////////////////////////////////////////////////////////////////////////
//Modbus¼Ä′æÆ÷oíμ¥Ƭ»ú¼Ä′æÆ÷μÄó3éä1ØÏμ
vu32 *Modbus_InputIO[10];//êäèë¿a1ØῼÄ′æÆ÷Ö¸Õë(Õaàïê1óÃμÄêÇλ′ø2ù×÷)
vu32 *Modbus_OutputIO[10];//êä3ö¿a1ØῼÄ′æÆ÷Ö¸Õë(Õaàïê1óÃμÄêÇλ′ø2ù×÷)
u16 *Modbus_HoldReg[10];//±£3Ö¼Ä′æÆ÷Ö¸Õë
u16 testData1=1201,testData2=1002,testData3=2303,testData4=8204;
void Modbus_RegMap(void)
{
        //êäèë¿a1ØῼÄ′æÆ÷Ö¸ÕëÖ¸Ïò
        Modbus_InputIO[0]=(vu32*)&Ein(4);//KEY0     //&Ein(4)£oè&#161E4μÄμØÖ·£¬(vu32*)&Ein(4)½&#171E4μØÖ·Ç¿ÖÆ×a»»Îauw32ààDíμÄμØÖ·£¬Modbus_InputIO[0]=(vu32*)&Ein(4); ½«×a»»oÃμÄμØÖ·Ëí¸øμØÖ·Ö¸ÕëModbus_InputIO[0]£»
        Modbus_InputIO[1]=(vu32*)&Ein(3);//KEY1     //*Modbus_InputIO[0] è¡3öμØÖ·ÖDμÄÄúèY¡£
        Modbus_InputIO[2]=(vu32*)&Ein(2);//KEY2
        Modbus_InputIO[3]=(vu32*)&Ain(0);//KEY3
        
        //êä3ö¿a1ØῼÄ′æÆ÷Ö¸ÕëÖ¸Ïò
        Modbus_OutputIO[0]=(vu32*)&Bout(5);//LED0
        Modbus_OutputIO[1]=(vu32*)&PEout(5);//LED1
        
        //±£3Ö¼Ä′æÆ÷Ö¸ÕëÖ¸Ïò
        Modbus_HoldReg[0]=(u16*)&testData1;//2aêÔêy¾Y1
        Modbus_HoldReg[1]=(u16*)&testData2;//((u16*)&testData1)+1;//2aêÔêy¾Y1
        Modbus_HoldReg[2]=(u16*)&testData3;//(u16*)&testData2;//2aêÔêy¾Y2
        Modbus_HoldReg[3]=(u16*)&testData4;//((u16*)&testData2)+1;//2aêÔêy¾Y2
        Modbus_HoldReg[4]=(u16*)&testData1;
                                Modbus_HoldReg[5]=(u16*)&testData2;
                                Modbus_HoldReg[6]=(u16*)&testData3;
}
/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

//CRCD£Ñé ×Ô¼ooóÃæìí¼óμÄ

const u8 auchCRCHi[] = {
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,
0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,
0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40, 0x00, 0xC1, 0x81, 0x40,
0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0, 0x80, 0x41, 0x00, 0xC1,0x81, 0x40, 0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41,
0x00, 0xC1, 0x81, 0x40, 0x01, 0xC0, 0x80, 0x41, 0x01, 0xC0,0x80, 0x41, 0x00, 0xC1, 0x81, 0x40} ;


const u8 auchCRCLo[] = {
0x00, 0xC0, 0xC1, 0x01, 0xC3, 0x03, 0x02, 0xC2, 0xC6, 0x06,0x07, 0xC7, 0x05, 0xC5, 0xC4, 0x04, 0xCC, 0x0C, 0x0D, 0xCD,
0x0F, 0xCF, 0xCE, 0x0E, 0x0A, 0xCA, 0xCB, 0x0B, 0xC9, 0x09,0x08, 0xC8, 0xD8, 0x18, 0x19, 0xD9, 0x1B, 0xDB, 0xDA, 0x1A,
0x1E, 0xDE, 0xDF, 0x1F, 0xDD, 0x1D, 0x1C, 0xDC, 0x14, 0xD4,0xD5, 0x15, 0xD7, 0x17, 0x16, 0xD6, 0xD2, 0x12, 0x13, 0xD3,
0x11, 0xD1, 0xD0, 0x10, 0xF0, 0x30, 0x31, 0xF1, 0x33, 0xF3,0xF2, 0x32, 0x36, 0xF6, 0xF7, 0x37, 0xF5, 0x35, 0x34, 0xF4,
0x3C, 0xFC, 0xFD, 0x3D, 0xFF, 0x3F, 0x3E, 0xFE, 0xFA, 0x3A,0x3B, 0xFB, 0x39, 0xF9, 0xF8, 0x38, 0x28, 0xE8, 0xE9, 0x29,
0xEB, 0x2B, 0x2A, 0xEA, 0xEE, 0x2E, 0x2F, 0xEF, 0x2D, 0xED,0xEC, 0x2C, 0xE4, 0x24, 0x25, 0xE5, 0x27, 0xE7, 0xE6, 0x26,
0x22, 0xE2, 0xE3, 0x23, 0xE1, 0x21, 0x20, 0xE0, 0xA0, 0x60,0x61, 0xA1, 0x63, 0xA3, 0xA2, 0x62, 0x66, 0xA6, 0xA7, 0x67,
0xA5, 0x65, 0x64, 0xA4, 0x6C, 0xAC, 0xAD, 0x6D, 0xAF, 0x6F,0x6E, 0xAE, 0xAA, 0x6A, 0x6B, 0xAB, 0x69, 0xA9, 0xA8, 0x68,
0x78, 0xB8, 0xB9, 0x79, 0xBB, 0x7B, 0x7A, 0xBA, 0xBE, 0x7E,0x7F, 0xBF, 0x7D, 0xBD, 0xBC, 0x7C, 0xB4, 0x74, 0x75, 0xB5,
0x77, 0xB7, 0xB6, 0x76, 0x72, 0xB2, 0xB3, 0x73, 0xB1, 0x71,0x70, 0xB0, 0x50, 0x90, 0x91, 0x51, 0x93, 0x53, 0x52, 0x92,
0x96, 0x56, 0x57, 0x97, 0x55, 0x95, 0x94, 0x54, 0x9C, 0x5C,0x5D, 0x9D, 0x5F, 0x9F, 0x9E, 0x5E, 0x5A, 0x9A, 0x9B, 0x5B,
0x99, 0x59, 0x58, 0x98, 0x88, 0x48, 0x49, 0x89, 0x4B, 0x8B,0x8A, 0x4A, 0x4E, 0x8E, 0x8F, 0x4F, 0x8D, 0x4D, 0x4C, 0x8C,
0x44, 0x84, 0x85, 0x45, 0x87, 0x47, 0x46, 0x86, 0x82, 0x42,0x43, 0x83, 0x41, 0x81, 0x80, 0x40} ;


u16 CRC_Compute(u8 *puchMsg, u16 usDataLen)
{
        u8 uchCRCHi = 0xFF ;
        u8 uchCRCLo = 0xFF ;
        u32 uIndex ;
        while (usDataLen--)
        {
                uIndex = uchCRCHi ^ *puchMsg++ ;
                uchCRCHi = uchCRCLo ^ auchCRCHi[uIndex] ;
                uchCRCLo = auchCRCLo[uIndex] ;
        }
        return ((uchCRCHi<< 8)  | (uchCRCLo)) ;
}//uint16 crc16(uint8 *puchMsg, uint16 usDataLen)
////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

//3&#245;ê&#188;&#187;ˉUSART2
void RS485_Init(void)
{
        GPIO_InitTypeDef GPIO_InitStructure;
        USART_InitTypeDef USART_InitStructure;
        NVIC_InitTypeDef NVIC_InitStructure;
        RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA|RCC_APB2Periph_GPIOD,ENABLE);
        RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART2,ENABLE);
                                RCC_AHBPeriphClockCmd(RCC_AHBPeriph_CRC,ENABLE);
        
        GPIO_InitStructure.GPIO_Pin=GPIO_Pin_2;//PA2£¨TX£&#169;&#184;′ó&#195;í&#198;íìê&#228;3&#246;
        GPIO_InitStructure.GPIO_Mode=GPIO_Mode_AF_PP;
        GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;
        GPIO_Init(GPIOA,&GPIO_InitStructure);
        GPIO_SetBits(GPIOA,GPIO_Pin_2);//&#196;&#172;è&#207;&#184;&#223;μ&#231;&#198;&#189;
        
        GPIO_InitStructure.GPIO_Pin=GPIO_Pin_3;//PA3£¨RX£&#169;ê&#228;è&#235;é&#207;à-
        GPIO_InitStructure.GPIO_Mode=GPIO_Mode_IN_FLOATING;   //DT&#184;&#196;&#212;-GPIO_Mode_IPU£¨ê&#228;è&#235;é&#207;à-£&#169;->GPIO_Mode_IN_FLOATING(&#184;&#161;&#191;&#213;ê&#228;è&#235;)/////////////////////////////////////////////
        GPIO_Init(GPIOA,&GPIO_InitStructure);
        
        GPIO_InitStructure.GPIO_Pin=GPIO_Pin_1;//PA1£¨RE/DE£&#169;í¨ó&#195;í&#198;íìê&#228;3&#246;//////////////////////////////////////////////////////////////////////
        GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;
        GPIO_Init(GPIOA,&GPIO_InitStructure);
        GPIO_ResetBits(GPIOA,GPIO_Pin_1);//&#196;&#172;è&#207;&#189;óê&#213;×′ì&#172;
        
        USART_DeInit(USART2);//&#184;′&#206;&#187;′&#174;&#191;ú2
        USART_InitStructure.USART_BaudRate=RS485_Baudrate;
        USART_InitStructure.USART_HardwareFlowControl=USART_HardwareFlowControl_None;
        USART_InitStructure.USART_WordLength=USART_WordLength_8b;
        USART_InitStructure.USART_StopBits=USART_StopBits_1;
        USART_InitStructure.USART_Mode=USART_Mode_Rx|USART_Mode_Tx;//ê&#213;·¢&#196;£ê&#189;
        switch(RS485_Parity)
        {
                case 0:USART_InitStructure.USART_Parity=USART_Parity_No;break;//&#206;TD£&#209;é
                case 1:USART_InitStructure.USART_Parity=USART_Parity_Odd;break;//&#198;&#230;D£&#209;é
                case 2:USART_InitStructure.USART_Parity=USART_Parity_Even;break;//&#197;&#188;D£&#209;é
        }
        USART_Init(USART2,&USART_InitStructure);
        
        USART_ClearITPendingBit(USART2,USART_IT_RXNE);
        USART_ITConfig(USART2,USART_IT_RXNE,ENABLE);//ê1&#196;ü′&#174;&#191;ú2&#189;óê&#213;&#214;D&#182;&#207;
        
        NVIC_InitStructure.NVIC_IRQChannel=USART2_IRQn;
        NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority=0;
        NVIC_InitStructure.NVIC_IRQChannelSubPriority=1;
        NVIC_InitStructure.NVIC_IRQChannelCmd=ENABLE;
        NVIC_Init(&NVIC_InitStructure);
        
        USART_Cmd(USART2,ENABLE);//ê1&#196;ü′&#174;&#191;ú2
        RS485_TX_EN=0;//&#196;&#172;è&#207;&#206;a&#189;óê&#213;&#196;£ê&#189;
        
        Timer7_Init();//&#182;¨ê±&#198;÷73&#245;ê&#188;&#187;ˉ£&#172;ó&#195;óú&#188;àêó&#191;&#213;&#207;Dê±&#188;&#228;
        Modbus_RegMap();//Modbus&#188;&#196;′&#230;&#198;÷ó3é&#228;
}

//&#182;¨ê±&#198;÷73&#245;ê&#188;&#187;ˉ
void Timer7_Init(void)
{
        TIM_TimeBaseInitTypeDef  TIM_TimeBaseStructure;
        NVIC_InitTypeDef NVIC_InitStructure;

        RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM7, ENABLE); //TIM7ê±&#214;óê1&#196;ü

        //TIM73&#245;ê&#188;&#187;ˉéè&#214;&#195;
        TIM_TimeBaseStructure.TIM_Period = RS485_Frame_Distance*10; //éè&#214;&#195;&#212;ú&#207;&#194;ò&#187;&#184;&#246;&#184;üD&#194;ê&#194;&#188;t×°è&#235;&#187;&#238;&#182;ˉμ&#196;×&#212;&#182;ˉ&#214;&#216;×°&#212;&#216;&#188;&#196;′&#230;&#198;÷&#214;ü&#198;úμ&#196;&#214;μ
        TIM_TimeBaseStructure.TIM_Prescaler =7200; //éè&#214;&#195;ó&#195;à′×÷&#206;aTIMxê±&#214;ó&#198;μ&#194;ê3yêyμ&#196;&#212;¤·&#214;&#198;μ&#214;μ éè&#214;&#195;&#188;&#198;êy&#198;μ&#194;ê&#206;a10kHz
        TIM_TimeBaseStructure.TIM_ClockDivision = 0; //éè&#214;&#195;ê±&#214;ó·&#214;&#184;&#238;:TDTS = Tck_tim
        TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up;  //TIM&#207;òé&#207;&#188;&#198;êy&#196;£ê&#189;
        TIM_TimeBaseInit(TIM7, &TIM_TimeBaseStructure); //&#184;ù&#190;YTIM_TimeBaseInitStruct&#214;D&#214;&#184;&#182;¨μ&#196;2&#206;êy3&#245;ê&#188;&#187;ˉTIMxμ&#196;ê±&#188;&#228;&#187;ùêyμ¥&#206;&#187;

        TIM_ITConfig( TIM7, TIM_IT_Update, ENABLE );//TIM7 &#212;êDí&#184;üD&#194;&#214;D&#182;&#207;

        //TIM7&#214;D&#182;&#207;·&#214;×é&#197;&#228;&#214;&#195;
        NVIC_InitStructure.NVIC_IRQChannel =TIM7_IRQn;  //TIM7&#214;D&#182;&#207;
        NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 2;  //&#207;è&#213;&#188;ó&#197;&#207;è&#188;&#182;2&#188;&#182;
        NVIC_InitStructure.NVIC_IRQChannelSubPriority = 3;  //′óó&#197;&#207;è&#188;&#182;3&#188;&#182;
        NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; //IRQí¨μà±&#187;ê1&#196;ü
        NVIC_Init(&NVIC_InitStructure);  //&#184;ù&#190;YNVIC_InitStruct&#214;D&#214;&#184;&#182;¨μ&#196;2&#206;êy3&#245;ê&#188;&#187;ˉíaéèNVIC&#188;&#196;′&#230;&#198;÷                                                                  
}



//////////////////////////////////////////////////////////////////////////////
//·¢&#203;ín&#184;&#246;×&#214;&#189;úêy&#190;Y
//buff:·¢&#203;í&#199;&#248;ê×μ&#216;&#214;·
//len£o·¢&#203;íμ&#196;×&#214;&#189;úêy
void RS485_SendData(u8 *buff,u8 len)
{
        RS485_TX_EN=1;//&#199;D&#187;&#187;&#206;a·¢&#203;í&#196;£ê&#189;
        while(len--)
        {
                while(USART_GetFlagStatus(USART2,USART_FLAG_TXE)==RESET);//μè′y·¢&#203;í&#199;&#248;&#206;a&#191;&#213;
                USART_SendData(USART2,*(buff++));
        }
        while(USART_GetFlagStatus(USART2,USART_FLAG_TC)==RESET);//μè′y·¢&#203;ííê3é
}


/////////////////////////////////////////////////////////////////////////////////////
void USART2_IRQHandler(void)//′&#174;&#191;ú2&#214;D&#182;&#207;·t&#206;&#241;3ìDò
{
           
        u8 res;
        u8 err;
         
        if(USART_GetITStatus(USART2,USART_IT_RXNE)!=RESET)
        {
                if(USART_GetFlagStatus(USART2,USART_FLAG_NE|USART_FLAG_FE|USART_FLAG_PE)) err=1;//&#188;ì2aμ&#189;&#212;&#235;ò&#244;&#161;¢&#214;&#161;′í&#206;ó&#187;òD£&#209;é′í&#206;ó
                else err=0;
                            OUTPUT1=0;
                res=USART_ReceiveData(USART2); //&#182;á&#189;óê&#213;μ&#189;μ&#196;×&#214;&#189;ú£&#172;í&#172;ê±&#207;à1&#216;±ê&#214;&#190;×&#212;&#182;ˉ&#199;&#229;3y
               
                if((RS485_RX_CNT<2047)&&(err==0))
                {
                        RS485_RX_BUFF[RS485_RX_CNT]=res;
                        RS485_RX_CNT++;
                        
                        TIM_ClearITPendingBit(TIM7,TIM_IT_Update);//&#199;&#229;3y&#182;¨ê±&#198;÷ò&#231;3&#246;&#214;D&#182;&#207;
                        TIM_SetCounter(TIM7,0);//μ±&#189;óê&#213;μ&#189;ò&#187;&#184;&#246;D&#194;μ&#196;×&#214;&#189;ú£&#172;&#189;&#171;&#182;¨ê±&#198;÷7&#184;′&#206;&#187;&#206;a0£&#172;&#214;&#216;D&#194;&#188;&#198;ê±£¨&#207;àμ±óú&#206;11·£&#169;
                        TIM_Cmd(TIM7,ENABLE);//&#191;aê&#188;&#188;&#198;ê±
                }
        }
}

///////////////////////////////////////////////////////////////////////////////////////
//ó&#195;&#182;¨ê±&#198;÷7&#197;D&#182;&#207;&#189;óê&#213;&#191;&#213;&#207;Dê±&#188;&#228;£&#172;μ±&#191;&#213;&#207;Dê±&#188;&#228;′óóú&#214;&#184;&#182;¨ê±&#188;&#228;£&#172;è&#207;&#206;aò&#187;&#214;&#161;&#189;áê&#248;
//&#182;¨ê±&#198;÷7&#214;D&#182;&#207;·t&#206;&#241;3ìDò         
void TIM7_IRQHandler(void)
{                                                                  
        if(TIM_GetITStatus(TIM7,TIM_IT_Update)!=RESET)
        {
                TIM_ClearITPendingBit(TIM7,TIM_IT_Update);//&#199;&#229;3y&#214;D&#182;&#207;±ê&#214;&#190;
                TIM_Cmd(TIM7,DISABLE);//í£&#214;1&#182;¨ê±&#198;÷
                RS485_TX_EN=1;//í£&#214;1&#189;óê&#213;£&#172;&#199;D&#187;&#187;&#206;a·¢&#203;í×′ì&#172;
                RS485_FrameFlag=1;//&#214;&#195;&#206;&#187;&#214;&#161;&#189;áê&#248;±ê&#188;&#199;
        }
}

/////////////////////////////////////////////////////////////////////////////////////
//RS485·t&#206;&#241;3ìDò£&#172;ó&#195;óú′|àí&#189;óê&#213;μ&#189;μ&#196;êy&#190;Y(&#199;&#235;&#212;ú&#214;÷oˉêy&#214;D&#209;-&#187;·μ÷ó&#195;)
u16 startRegAddr;
u16 RegNum;
u16 calCRC;
void RS485_Service(void)
{
        u16 recCRC;
        if(RS485_FrameFlag==1)
        {
                if(RS485_RX_BUFF[0]==RS485_Addr)//μ&#216;&#214;·&#213;yè·
                {
                        if((RS485_RX_BUFF[1]==01)||(RS485_RX_BUFF[1]==02)||(RS485_RX_BUFF[1]==03)||(RS485_RX_BUFF[1]==05)||(RS485_RX_BUFF[1]==06)||(RS485_RX_BUFF[1]==15)||(RS485_RX_BUFF[1]==16))//1|&#196;ü&#194;&#235;&#213;yè·
                  {
                                startRegAddr=(((u16)RS485_RX_BUFF[2])<<8)|RS485_RX_BUFF[3];//&#187;&#241;è&#161;&#188;&#196;′&#230;&#198;÷&#198;eê&#188;μ&#216;&#214;·
                                if(startRegAddr<1000)//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·&#212;ú·&#182;&#206;§&#196;ú
                                {
                                        calCRC=CRC_Compute(RS485_RX_BUFF,RS485_RX_CNT-2);//&#188;&#198;&#203;&#227;&#203;ù&#189;óê&#213;êy&#190;Yμ&#196;CRC
                                        recCRC=RS485_RX_BUFF[RS485_RX_CNT-1]|(((u16)RS485_RX_BUFF[RS485_RX_CNT-2])<<8);//&#189;óê&#213;μ&#189;μ&#196;CRC(μí×&#214;&#189;ú&#212;ú&#199;°£&#172;&#184;&#223;×&#214;&#189;ú&#212;úoó)
                                        if(calCRC==recCRC)//CRCD£&#209;é&#213;yè·
                                        {
                                                                                                //LED1=0;
                                                /////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
                                                switch(RS485_RX_BUFF[1])//&#184;ù&#190;Y2&#187;í&#172;μ&#196;1|&#196;ü&#194;&#235;&#189;&#248;DD′|àí
                                                {
                                                        case 2://&#182;áê&#228;è&#235;&#191;a1&#216;á&#191;
                                                        {
                                                                Modbus_02_Solve();
                                                                break;
                                                        }
                                                        
                                                        case 1://&#182;áê&#228;3&#246;&#191;a1&#216;á&#191;
                                                        {
                                                                Modbus_01_Solve();
                                                                break;
                                                        }
                                                               
                                                        case 5://D′μ¥&#184;&#246;ê&#228;3&#246;&#191;a1&#216;á&#191;
                                                        {
                                                                Modbus_05_Solve();
                                                                break;
                                                        }
                                                               
                                                        case 15://D′&#182;à&#184;&#246;ê&#228;3&#246;&#191;a1&#216;á&#191;
                                                        {
                                                                Modbus_15_Solve();
                                                                break;
                                                        }
                                                               
                                                        case 03: //&#182;á&#182;à&#184;&#246;&#188;&#196;′&#230;&#198;÷
                                                        {                                                                                                                                
                                                                Modbus_03_Solve();
                                                                break;
                                                        }
                                                               
                                                        case 06: //D′μ¥&#184;&#246;&#188;&#196;′&#230;&#198;÷
                                                        {
                                                                Modbus_06_Solve();
                                                                break;
                                                        }
                                                               
                                                        case 16: //D′&#182;à&#184;&#246;&#188;&#196;′&#230;&#198;÷
                                                        {
                                                                Modbus_16_Solve();
                                                                break;
                                                        }
                                                                                       
                                                }
                                                //////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
                                        }
                                        else//CRCD£&#209;é′í&#206;ó
                                        {

                                                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                                                RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                                                RS485_TX_BUFF[2]=0x04; //òì3£&#194;&#235;
                                                RS485_SendData(RS485_TX_BUFF,3);
                                        }        
                                }
                                else//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·3&#172;3&#246;·&#182;&#206;§
                                {
                                        RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                                        RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                                        RS485_TX_BUFF[2]=0x02; //òì3£&#194;&#235;
                                        RS485_SendData(RS485_TX_BUFF,3);
                                }                                                
                        }
                        else//1|&#196;ü&#194;&#235;′í&#206;ó
                        {
                                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                                RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                                RS485_TX_BUFF[2]=0x01; //òì3£&#194;&#235;
                                RS485_SendData(RS485_TX_BUFF,3);
                        }
          }
                                
                RS485_FrameFlag=0;//&#184;′&#206;&#187;&#214;&#161;&#189;áê&#248;±ê&#214;&#190;
                RS485_RX_CNT=0;//&#189;óê&#213;&#188;&#198;êy&#198;÷&#199;&#229;á&#227;
                RS485_TX_EN=0;//&#191;a&#198;&#244;&#189;óê&#213;&#196;£ê&#189;               
        }               
}

//Modbus1|&#196;ü&#194;&#235;02′|àí3ìDò/////////////////////////////////////////////////////3ìDòò&#209;&#209;é&#214;¤OK -----±&#216;D&#235;&#207;è&#197;&#228;&#214;&#195;PE4 PE3 PE2 PA0 3&#245;ê&#188;&#187;ˉ°′&#188;ü2&#197;&#191;éò&#212;OK    KEY_Init();
//&#182;áê&#228;è&#235;&#191;a1&#216;á&#191;
void Modbus_02_Solve(void)
{
        u16 ByteNum;
        u16 i;
        RegNum= (((u16)RS485_RX_BUFF[4])<<8)|RS485_RX_BUFF[5];//&#187;&#241;è&#161;&#188;&#196;′&#230;&#198;÷êyá&#191;
        if((startRegAddr+RegNum)<100)//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·+êyá&#191;&#212;ú·&#182;&#206;§&#196;ú
        {
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1];
                ByteNum=RegNum/8;//×&#214;&#189;úêy
                if(RegNum%8) ByteNum+=1;//è&#231;1&#251;&#206;&#187;êy&#187;1óDóàêy£&#172;&#212;ò×&#214;&#189;úêy+1
                RS485_TX_BUFF[2]=ByteNum;//·μ&#187;&#216;òa&#182;áè&#161;μ&#196;×&#214;&#189;úêy
                for(i=0;i<RegNum;i++)
                {
                        if(i%8==0) RS485_TX_BUFF[3+i/8]=0x00;
                        RS485_TX_BUFF[3+i/8]>>=1;//μí&#206;&#187;&#207;è·¢&#203;í
                        RS485_TX_BUFF[3+i/8]|=((*Modbus_InputIO[startRegAddr+i])<<7)&0x80;
                        if(i==RegNum-1)//·¢&#203;íμ&#189;×&#238;oóò&#187;&#184;&#246;&#206;&#187;á&#203;
                        {
                                if(RegNum%8) RS485_TX_BUFF[3+i/8]>>=8-(RegNum%8);//è&#231;1&#251;×&#238;oóò&#187;&#184;&#246;×&#214;&#189;ú&#187;1óDóàêy£&#172;&#212;òê£óàMSBì&#238;3&#228;0
                        }
                }
                calCRC=CRC_Compute(RS485_TX_BUFF,ByteNum+3);
                RS485_TX_BUFF[ByteNum+3]=(calCRC>>8)&0xFF;
                RS485_TX_BUFF[ByteNum+4]=(calCRC)&0xFF;
                RS485_SendData(RS485_TX_BUFF,ByteNum+5);
        }
        else//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·+êyá&#191;3&#172;3&#246;·&#182;&#206;§
        {
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                RS485_TX_BUFF[2]=0x02; //òì3£&#194;&#235;
                RS485_SendData(RS485_TX_BUFF,3);
        }
}

//Modbus1|&#196;ü&#194;&#235;01′|àí3ìDò ///////////////////////////////////////////////////////////3ìDòò&#209;&#209;é&#214;¤OK
//&#182;áê&#228;3&#246;&#191;a1&#216;á&#191;
void Modbus_01_Solve(void)
{
        u16 ByteNum;
        u16 i;
        RegNum= (((u16)RS485_RX_BUFF[4])<<8)|RS485_RX_BUFF[5];//&#187;&#241;è&#161;&#188;&#196;′&#230;&#198;÷êyá&#191;
        if((startRegAddr+RegNum)<100)//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·+êyá&#191;&#212;ú·&#182;&#206;§&#196;ú
        {
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1];
                ByteNum=RegNum/8;//×&#214;&#189;úêy
                if(RegNum%8) ByteNum+=1;//è&#231;1&#251;&#206;&#187;êy&#187;1óDóàêy£&#172;&#212;ò×&#214;&#189;úêy+1
                RS485_TX_BUFF[2]=ByteNum;//·μ&#187;&#216;òa&#182;áè&#161;μ&#196;×&#214;&#189;úêy
                for(i=0;i<RegNum;i++)
                {
                        if(i%8==0) RS485_TX_BUFF[3+i/8]=0x00;
                        RS485_TX_BUFF[3+i/8]>>=1;//μí&#206;&#187;&#207;è·¢&#203;í
                        RS485_TX_BUFF[3+i/8]|=((*Modbus_OutputIO[startRegAddr+i])<<7)&0x80;
                        if(i==RegNum-1)//·¢&#203;íμ&#189;×&#238;oóò&#187;&#184;&#246;&#206;&#187;á&#203;
                        {
                                if(RegNum%8) RS485_TX_BUFF[3+i/8]>>=8-(RegNum%8);//è&#231;1&#251;×&#238;oóò&#187;&#184;&#246;×&#214;&#189;ú&#187;1óDóàêy£&#172;&#212;òê£óàMSBì&#238;3&#228;0
                        }
                }
                calCRC=CRC_Compute(RS485_TX_BUFF,ByteNum+3);
                RS485_TX_BUFF[ByteNum+3]=(calCRC>>8)&0xFF;
                RS485_TX_BUFF[ByteNum+4]=(calCRC)&0xFF;
                RS485_SendData(RS485_TX_BUFF,ByteNum+5);
        }
        else//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·+êyá&#191;3&#172;3&#246;·&#182;&#206;§
        {
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                RS485_TX_BUFF[2]=0x02; //òì3£&#194;&#235;
                RS485_SendData(RS485_TX_BUFF,3);
        }
}

//Modbus1|&#196;ü&#194;&#235;05′|àí3ìDò   ///////////////////////////////////////////////////////3ìDòò&#209;&#209;é&#214;¤OK
//D′μ¥&#184;&#246;ê&#228;3&#246;&#191;a1&#216;á&#191;
void Modbus_05_Solve(void)
{
        if(startRegAddr<100)//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·&#212;ú·&#182;&#206;§&#196;ú
        {
                if((RS485_RX_BUFF[4]==0xFF)||(RS485_RX_BUFF[5]==0xFF)) *Modbus_OutputIO[startRegAddr]=0x01;
                else *Modbus_OutputIO[startRegAddr]=0x00;
               
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1];
                RS485_TX_BUFF[2]=RS485_RX_BUFF[2];
                RS485_TX_BUFF[3]=RS485_RX_BUFF[3];
                RS485_TX_BUFF[4]=RS485_RX_BUFF[4];
                RS485_TX_BUFF[5]=RS485_RX_BUFF[5];
               
                calCRC=CRC_Compute(RS485_TX_BUFF,6);
                RS485_TX_BUFF[6]=(calCRC>>8)&0xFF;
                RS485_TX_BUFF[7]=(calCRC)&0xFF;
                RS485_SendData(RS485_TX_BUFF,8);
        }
        else//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·3&#172;3&#246;·&#182;&#206;§
        {
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                RS485_TX_BUFF[2]=0x02; //òì3£&#194;&#235;
                RS485_SendData(RS485_TX_BUFF,3);
        }
}

//Modbus1|&#196;ü&#194;&#235;15′|àí3ìDò   //////////////////////////////////////////////////////3ìDòò&#209;&#209;é&#214;¤OK
//D′&#182;à&#184;&#246;ê&#228;3&#246;&#191;a1&#216;á&#191;
void Modbus_15_Solve(void)
{
        u16 i;
        RegNum=(((u16)RS485_RX_BUFF[4])<<8)|RS485_RX_BUFF[5];//&#187;&#241;è&#161;&#188;&#196;′&#230;&#198;÷êyá&#191;
        if((startRegAddr+RegNum)<100)//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·+êyá&#191;&#212;ú·&#182;&#206;§&#196;ú
        {        
                for(i=0;i<RegNum;i++)
                {
                        if(RS485_RX_BUFF[7+i/8]&0x01) *Modbus_OutputIO[startRegAddr+i]=0x01;
                        else *Modbus_OutputIO[startRegAddr+i]=0x00;
                        RS485_RX_BUFF[7+i/8]>>=1;//′óμí&#206;&#187;&#191;aê&#188;
                }
               
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1];
                RS485_TX_BUFF[2]=RS485_RX_BUFF[2];
                RS485_TX_BUFF[3]=RS485_RX_BUFF[3];
                RS485_TX_BUFF[4]=RS485_RX_BUFF[4];
                RS485_TX_BUFF[5]=RS485_RX_BUFF[5];
                calCRC=CRC_Compute(RS485_TX_BUFF,6);
                RS485_TX_BUFF[6]=(calCRC>>8)&0xFF;
                RS485_TX_BUFF[7]=(calCRC)&0xFF;
                RS485_SendData(RS485_TX_BUFF,8);
        }
        else//&#188;&#196;′&#230;&#198;÷μ&#216;&#214;·+êyá&#191;3&#172;3&#246;·&#182;&#206;§
        {
                RS485_TX_BUFF[0]=RS485_RX_BUFF[0];
                RS485_TX_BUFF[1]=RS485_RX_BUFF[1]|0x80;
                RS485_TX_BUFF[2]=0x02; //òì3£&#194;&#235;
                RS485_SendData(RS485_TX_BUFF,3);
        }
}
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。