buffer仿真不能用的解决办法

2019-03-25 07:14发布

此语句 SYSCLK         : buffer std_logic;在仿真的时候会报错,那么需要改一下这条语句  SYSCLK          :OUT STD_LOGIC; ,此时sysclk被定义为OUT输出信号,那么怎么才能把out转换成buffer类型呢,buffer类型是供内部使用,它取得值是上一次它的值。因此需要加一条中间信号,signal sysclk_buf      :std_logic;,定义完之后,要在映射后面加一条回读语句,   
port map (
      O => SYSCLK,
   I => CLK40M_toBUFG
   );
   SYSCLK<=sysclk_buf;
此时就可以达到buffer的效果了。
此篇文章仅是自己的总结,有不足请指出。谢谢!


此内容由EEWORLD论坛网友刘123原创,如需转载或用于商业用途需征得作者同意并注明出处

此帖出自小平头技术问答
0条回答

一周热门 更多>