断点设置技巧——ccs3.3

2019-08-01 16:28发布

如果在CCS3.3下仿真时断点无法设置。你需要检查一下你的工程配置了。
打开Build Optins,在Complier对话框下的Basic中,将Generate Debug设置成Full Sumbolic Debug(-g)即可。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
jinpaidianzi
1楼-- · 2019-08-01 19:29
在ccs3.3环境下,程序在FLASH中调试。系统最多只能设置两个断点。如果在程序段中就不能设置断点。
通过设置CCS3.3中的Option下的Customize。接着选中“Program/Project/CIO”,子菜单中勾选Do Not Set CIO Breakpoint AT……和Do Not Set End of Program Breakpoint AT……。点击确定即可。 即可在flash中调试设置断点了。
teabottle
2楼-- · 2019-08-01 23:44
 精彩回答 2  元偷偷看……
tuyongfei
3楼-- · 2019-08-02 05:33
谢谢
zhangmangui
4楼-- · 2019-08-02 05:52
楼主辛苦啦  谢谢诶分享
huangfeng33
5楼-- · 2019-08-02 08:07

设置好断点对于破解的成功是非常重要的,好帖学习。

一周热门 更多>