求助,modelsim在仿真的时候没有波形

2019-07-15 23:59发布

在quartus里运行没有错误,调用modelsim时不出波形


`timescale 1 ns/ 1 ns
module fp_prj_vlg_tst();
// constants                                          
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire fm;

// assign statements (if any)                          
fp_prj i1 (
// port map - connection between master ports and signals/registers   
        .clk(clk),
        .fm(fm),
        .rst_n(rst_n)
);

initial                                             
begin                                                  
        clk=0;
        forever
        #10 clk=~clk;
end                                                   
initial
begin
        rst_n=0;
        #1000
        rst_n=1;
        #5000
        $stop;
end                                                   
endmodule

modelsim仿真 modelsim仿真
QQ截图20150418084126.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。