quartus错误10500解决办法

2019-07-15 22:48发布

QQ图片20160429222427.png
Error (10500): VHDL syntax error at PROCESS.vhd(1) near text "P2";  expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration"
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。