模块例化错误

2019-07-15 21:49发布


SW[1]这样子例化错误,请问下,不能这样例化的吗?
在顶层模块是input   [4]sw;这样定义的,然后在底层模块要使用到sw[1]这个开关信号,现在例化这个信号出错了,求解。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。