三段式verilog状态机,写好状态机还真不容易,求指导

2020-02-27 21:18发布

以下是三段式状态机的第二段,如果我在case前面加上语句next_state <= 9'dx;则综合后没有问题。如果不加,则会生成几十个latches(加了default的)。那么在写的时候是不是只加next_state <= 9'dx这条语句就可以了,还有必要加default,都加上可行吗?为什么只用default语句没有用了?还是状态太多,必须要next_state <= 9'dx这条语句?


always@(a or b or c or d)
  begin
    next_state <= 9'dx;  //  
    case(current_state)
       IDLE:
        .
        .
        .
       default: next_state <= IDLE ;  //
    endcase
end
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。