有没有modelsim的高手,帮忙进来看看

2019-03-25 10:38发布

本人新手,刚下载了个modelsim6.5,结果编译任何模块都出现:** Error: E:/modelsim SE 6.5/NAND.vhd(1): near "module": syntax  的错误,这是为什么啊? 请Verilog方面的大神出面指教,或者加我QQ:552104827,帮忙传个没问题的modelsim6.5,或者用QQ远程操控看下,谢谢论坛里的高手!
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。