请教大神:ARM的32位乘法溢出如何解决

2019-12-24 18:39发布

        uint32_t   e,d,y,x;
        x=0xffffffff;y=100;
           e=(x/1000)*y;
        d=(x*y)/1000;
仿真结果e和d的结果不相同。应是x*y溢出32位所致,请教如何解决!
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。