一个小问题

2019-03-25 09:59发布

module compare(out,a,b); input [7:0] a,b; output out; reg out; always @(a or b) begin if(a>b) out=1; else out=0; endendmodule
此处若缺省else语句会出现什么逻辑?是不是会产生不定态? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。