Xilinx FPGA引脚格式如何将UCF转换成XDC

2019-12-02 15:15发布

<p>xilinx k-7系列FPGA,有一段基于ISE14.7的程序,想在Vivado上使用。</p><p> 那如何将ucf格式改成xdc格式。</p><p>除了转换引脚,还需要别的工作么?</p><p>谢谢啦</p><br> <p><br></p>
1条回答
卿小小_9e6
2019-12-02 17:55 .采纳回答
01. 先说引脚分配的转换:UCF转XDC
    事实上,此转换需要的是将ISE的引脚分配*.csv导出,然后在vivado里导入引脚分配*.csv。
    具体步骤:
    ①ISE导出*.csv
    a.  ISE工程,Tools -> PlanAhead -> Pre-Synthesis,打开PlanAhead。
    b.  在下方“I/O Ports”对话框的空白处右键,选择“Exprot I/O Ports”。
    c.  弹窗勾选“CSV”,选择好保存路径,OK。这样完成了*.csv的导出。
    ②Vivado导入*.csv
    a.  导入*.v源文件和*.xci(IP核),搭好工程框架,升级IP核。如果有PS还需要配置好软核。
    b.  运行综合Run_Synthesis,然后打开综合Open_Synthesized_Design。(无需XDC)
    c.  Window -> I/O_Ports,打开引脚分配对话框。
    d.  File -> Import_I/O_Ports,弹窗选择CSV_File,找到刚才保存的*.csv即可。
   
02. 其他工作   
    a.  其他工作主要是Vivado的开发流程。
    b.  如果在综合的过程中报错,首先检查IP核是否升级。
        选中Project_Manager,然后在菜单栏选择Tools -> Report -> Report IP Status,检查IP状态。
    c.  不报错就没有问题了。如果再有问题的话一般是语法的细节问题,需要仔细检查。 最佳答案

一周热门 更多>