关于STM32 MINI板 DAC2输出问题

2019-07-20 22:24发布

用例程ALIENTEK MINISTM32 实验17 DAC实验 改成DAC2 输出,用函数DAC_SetChannel2Data(DAC_Align_12b_R, 0)设置DAC输出为0,但是ADC读入DAC输出值却变成了0.7V,有没有大神解释一下问题在哪里


void Dac1_Init(void)
{

        GPIO_InitTypeDef GPIO_InitStructure;
        DAC_InitTypeDef DAC_InitType;

        RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE );          //使能PORTA通道时钟
        RCC_APB1PeriphClockCmd(RCC_APB1Periph_DAC, ENABLE );          //使能DAC通道时钟

        GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5;                                 // 端口配置
        GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AIN;                  //模拟输入
        GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
        GPIO_Init(GPIOA, &GPIO_InitStructure);
        GPIO_SetBits(GPIOA,GPIO_Pin_5)        ;//PA.4 输出高
                                       
        DAC_InitType.DAC_Trigger=DAC_Trigger_None;        //不使用触发功能 TEN1=0
        DAC_InitType.DAC_WaveGeneration=DAC_WaveGeneration_None;//不使用波形发生
        DAC_InitType.DAC_LFSRUnmask_TriangleAmplitude=DAC_LFSRUnmask_Bit0;//屏蔽、幅值设置
        DAC_InitType.DAC_OutputBuffer=DAC_OutputBuffer_Disable ;        //DAC1输出缓存关闭 BOFF1=1
        DAC_Init(DAC_Channel_2,&DAC_InitType);         //初始化DAC通道1

        DAC_Cmd(DAC_Channel_2, ENABLE);  //使能DAC1

        DAC_SetChannel2Data(DAC_Align_12b_R, 0);  //12位右对齐数据格式设置DAC值

}



        while(1)
        {
                t++;
                key=KEY_Scan(0);                          
                if(key==WKUP_PRES)
                {                 
                        if(dacval<4000)dacval+=124;
                        DAC_SetChannel2Data(DAC_Align_12b_R, dacval);//??DAC?
                }else if(key==KEY0_PRES)       
                {
                        if(dacval>200)dacval-=124;
                        else dacval=0;
                        DAC_SetChannel2Data(DAC_Align_12b_R, dacval);//??DAC?
                }         
                if(t==10||key==KEY0_PRES||key==WKUP_PRES)         //WKUP/KEY1按下了,或者定时时间到了
                {          
                        adcx=DAC_GetDataOutputValue(DAC_Channel_2);//??????DAC??
                        LCD_ShowxNum(124,150,adcx,4,16,0);             //显示DAC寄存器值
                        temp=(float)adcx*(3.3/4096);                        //得到DAC电压值
                        adcx=temp;
                        LCD_ShowxNum(124,170,temp,1,16,0);             //显示电压值整数部分
                        temp-=adcx;
                        temp*=1000;
                        LCD_ShowxNum(140,170,temp,3,16,0X80);         //显示电压值的小数部分
                        adcx=Get_Adc_Average(ADC_Channel_1,10);                //得到ADC转换值          
                        temp=(float)adcx*(3.3/4096);                        //得到ADC电压值
                        adcx=temp;
                        LCD_ShowxNum(124,190,temp,1,16,0);             //显示电压值整数部分
                        temp-=adcx;
                        temp*=1000;
                        LCD_ShowxNum(140,190,temp,3,16,0X80);         //显示电压值的小数部分  
                        t=0;
                }            
                delay_ms(10);       
        }


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。